News

AMD, Arm, Intel, TSMC and Qualcomm, among the technologies that work on the UCIe standard

The main companies dedicated to the manufacture of chips have joined other technology companies in an alliance that aims to create a new standard for the manufacture of semiconductors: the eICUor Universal Chiplet Interconnect Express. With him it is intended establish the standards and common ground for the integration of chiplets in semiconductor designs in the future.

As its name indicates, UCIe is trying to generate the same broad ecosystem model created for PCIe, and which has been in use for several years, for chiplets, which are specialized chips that perform only very specific functions. As Muycomputer points out, chiplets represent a new level of abstraction in chip design. They are pieces of hardware that do not constitute a complete system by themselves, but each of them is the physical implementation of one or a few IP cores that can be connected with other chiplets to form a complete system.

In this way, chiplets can be reused for different products, which leads to a reduction in costs compared to the more complex conventional system of chip design, in which the computing capacity resides in a single element. With chiplets, the workload, which previously fell on a single chip, is distributed among several, which would form an equivalent system. They also allow greater flexibility, and design larger and more powerful SoCs.

This is because they allow different manufacturing processes to be used for each type of chiplet, while to develop a conventional SoC it is necessary to use a single process. This design method also results in less waste, because if there is a component that does not work, it is only necessary to dispose of the defective element and use another one, instead of discarding the entire SoC.

As we have mentioned, the main manufacturers of processors have been involved in the creation of the standard, such as Intel, TSMC or Samsung. But also other leading companies in the sector, such as amd, Arm or Qualcomm. They have all joined technology companies like Goal, Google Cloud or microsoft to get it. Of course, some major companies, such as Nvidia, are missing.

UCIe 1.0, which will be the name of the first version of the standard, will be a standard for the interconnection of chiplets, with which companies will have more facilities to select and join different chiplet-type components when developing SoCs. The main idea behind the standard is to make it possible for companies to insert different chiplet components into their designs, just like any PCIe compatible accessory with a computer, regardless of which companies have made each one.

Currently, the process of creating the regulations for the standard is still taking its first steps. For now, work is being done to establish standards for interconnecting chiplets to form larger components, and plans are underway to create an organization of the sector that in the future deals with the development of ICU and its future versions, working on topics such as the form factor of chiplets, their management, the improved security of components and other essential protocols.

Related Articles

Leave a Reply

Your email address will not be published. Required fields are marked *