Tech

Intel PowerVia improves performance by 6% and reduces voltage fluctuation by 30%

As I have already told you on previous occasions, we are getting closer to reaching the theoretical limit of silicon. This means that each reduction in the manufacturing process becomes an ever greater challenge, and problems arise that can only be addressed with major innovations, a reality to which the chip giant has been able to respond with Intel Power Via.

Let’s start at the beginning, what is Intel PowerVia? This is a technology that the Santa Clara company has begun to apply to implement the power supply system at the silicon level at the rear of the chip. This marks important differences compared to the classic front feeding system, since:

intel powervia

  • Improves performance by up to 6% in the first tests that Intel has carried out with a Blue Sky Creek chip, based on the Crestmont architecture (the same one that will be used by the high-efficiency cores of the Meteor Lake processors).
  • Reduces voltage fluctuation by 30%minimizing the maximum peaks and thus achieving a much more efficient operation.
  • Solves bottlenecks that occur at the interconnection level, and which are mainly motivated by the integration of smaller, denser and more powerful transistors. Until now, the power and signal lines present in a transistor have to “fight” for the same resources. When we separated them, we were able to increase performance and power efficiency, which is why this move from the power delivery system to the rear of the chip is so important.
  • Allows you to increase transistor density without having to make sacrificesand maximize the power and performance that a specific architecture would be able to offer under a next-generation node.

intel powervia

Intel PowerVia is not a good idea on paper, It is something that has already been transferred to the real worldand the first tests that the chip giant has carried out with that Blue Sky Creek processor have been satisfactory, since they did not detect any problem and verified that the temperature values ​​were totally optimal.

intel powervia

According to Intel, the development of PowerVia was separated from the development of transistors to guarantee its preparation for the implementation of its most advanced nodes, specifically in the Intel 20A and Intel 18A (2 nm and 1.8 nm respectively). That first test of PowerVia technology was done on a Blue Sky Creek chip with RibbonFET made on intel 20A node.

intel powervia

We have already seen part of the results that Intel obtained, a 6% performance improvement and a 30% lower voltage fluctuation, but this is not all, the chip giant has also said that cell utilization was over 90% and what did he achieve a significant scaling of transistors.

intel powervia

Intel PowerVia technology will be introduced with Intel 20A and Intel 18A nodes, and these will also come with RibbonFET gate-all-around technology. The chip giant has already had all the issues that this plans at a thermal and debugging level, and obtained very valuable data from this first test that allowed it to confirm that it is a perfectly viable evolution, both for scaling of transistors and for the rest of values. (https://cashcofinancial.com/)

Related Articles

Leave a Reply

Your email address will not be published. Required fields are marked *